14_21.pdf

(758 KB) Pobierz
AVT Nixie Clock, VHDL i lampy, część 1
P R O J E K T Y
VHDL i lampy,
czêæ 1
No tak, Elektronika
Praktyczna wziê³a siê za
odgrzewanie kotletów,
pomyl¹ pewnie ci sporód
naszych Czytelników, którzy
pamiêtaj¹ polsk¹ elektronikê
z koñca lat 80. Akceleracja,
jakiej jestemy poddawani
przez ostatnich 12 lat -
chodzi g³ównie o dostêp do
nowoczesnych podzespo³ów -
spowodowa³a, ¿e jeszcze
niedawno traktowane
pogardliwie lampy Nixie
nabra³y wyj¹tkowego smaku.
Pomys³em na ich
zastosowanie dzielimy siê
z Wami w artykule.
Rekomendacje : projekt
z popularnego na Zachodzie
gatunku "Vintage Electronics",
czyli chwytaj¹ce za serce
(i zazwyczaj oko) praktyczne
starocie. O tyle dopasowany
do EP-owskiej doktryny
nad¹¿ania za nowociami, ¿e
ca³a czêæ cyfrowa zosta³a
opisana w VHDL-u.
Nasta³y nieco zwariowane cza-
sy. Z jednej strony s¹ powszech-
nie dostêpne uk³ady scalone w mi-
niaturowych obudowach CSP
( Chip Scale Package ), z drugiej do
mody wracaj¹ lampy, niew¹tpli-
wie element z minionej epoki.
Dziêki narzêdziom takim jak Bas-
com, w wielu aplikacjach AVR-ek
zastêpuje timer 555 lub realizuje
bardziej skomplikowane zadania,
ale jednoczenie elektronicy zafas-
cynowani lampowym brzmie-
niem buduj¹ wzmacniacze m.cz.
(na ogó³ o fatalnych - jak wyka-
zuj¹ pomiary - parametrach). Nie
brakuje tak¿e zwolenników tune-
rów FM budowanych na lampach
w czystym uk³adzie heterodyno-
wym. Powstaj¹ tak¿e nowe lam-
powe fankluby, jak choæby
TVBulbs z miasta Aurelia w Au-
stralii, którego cz³onkowie uznali,
¿e najlepsz¹ jakoæ obrazu TV
mo¿na uzyskaæ jedynie w lampo-
wych odbiornikach telewizyj-
nych...
Mody lampowe docieraj¹ do
naszego kraju z pewnym opónie-
niem w stosunku do Zachodu,
czego przyczyn¹ jest prawdopo-
dobnie nasze stosunkowo póne
wkroczenie w wiat nowoczesnej
elektroniki. O tym, ¿e spore grono
naszych Czytelników jest zainte-
resowanych t¹ tematyk¹, dowodz¹
zarówno wyniki naszej interneto-
wej ankiety, jak i listowe reakcje
na projekty publikowane ostatnio
w EP (lampowy equalizer czy te¿
odbiornik kryszta³kowy ze wzmac-
niaczem lampowym).
Traktuj¹c entuzjastyczne listy
jako zachêtê do przybli¿ania fas-
cynuj¹cych mo¿liwoci lamp ró¿-
nego rodzaju, przygotowa³em pro-
jekt o niezaprzeczalnych walorach
u¿ytkowych i estetycznych, a przy
tym sporych - tak¿e dla Czytel-
ników niezbyt zainteresowanych
lampami - walorach edukacyjnych.
Przyjemne z po¿ytecznym
Podczas przeszukiwania inter-
netowych zasobów przypadkowo
(bo przecie¿ nie interesuj¹ mnie
lampy) natkn¹³em siê na kilkana-
cie kolekcji zdjêæ i co najmniej
kilkadziesi¹t opisów projektów ze-
garów, których mo¿liwoci funk-
cjonalne nie by³y zazwyczaj osza-
³amiaj¹ce, ale zamiast wywietla-
czy LED lub energooszczêdnych
LCD, zastosowano w nich mniej-
14
Elektronika Praktyczna 2/2003
AVT Nixie Clock
32567639.050.png 32567639.055.png
AVT Nixie Clock
Rys. 1. Schemat elektryczny sterownika zegara
sze lub wiêksze lampy! Takie
zegary musz¹ mieæ du¿e wymiary,
do zasilania wymagaj¹ relatywnie
wysokich napiêæ i z tego powodu
do ich wykonania niezbêdne s¹
doæ specyficzne elementy. Pobie-
raj¹ du¿o energii, zazwyczaj maj¹
jeszcze kilka innych wad, ale
zastosowano w nich wywietlacze
lampowe! To wystarczy, aby siê
nimi zainteresowaæ. Po prostu
prezentuj¹ siê efektownie, s¹
³adne.
Podgl¹dniête rozwi¹zania uk³a-
dów zegarowych zafascynowa³y
mnie do tego stopnia, ¿e posta-
nowi³em zaprojektowaæ i wykonaæ
taki zegar, przy czym - tu siê
k³aniaj¹ walory edukacyjne pro-
jektu - zamiast klasycznych roz-
wi¹zañ dyskretnych na uk³a-
dach CMOS lub TTL, postanowi-
³em przygotowaæ mieszankê no-
woczesnoci z retro: wywietlacze
Nixie sterowane (oczywicie po-
przez bufory napiêciowe) przez
nowoczesny uk³ad PLD produko-
wany przez firmê Xilinx, w któ-
rym zaimplementowano zegar opi-
sany w jêzyku VHDL.
Zastosowanie uk³adu progra-
mowalnego - wbrew obiegowym
pogl¹dom - nie wi¹¿e siê ze
wzrostem kosztu wykonania zega-
ra, a dziêki mo¿liwoci programo-
wania uk³adu w systemie i do-
stêpnym bezp³atnym narzêdziom
do kompilacji opisów w VHDL
(WebPack ISE oraz WebFitter fir-
my Xilinx - z tego drugiego mo¿-
na korzystaæ zdalnie przez Inter-
net!) elastycznoæ tak przygotowa-
nego projektu jest bardzo du¿a.
Opis uk³adu
Zegar sk³ada siê z trzech za-
sadniczych czêci, których sche-
maty przedstawiono kolejno na
rys. 1...3 : sterownika zegara, zes-
po³u wywietlaczy i zespo³u klu-
czy tranzystorowych.
Sterownik zegara jest zintegro-
wany z preskalerem czêstotliwoci
wzorcowej. Sygna³ podstawy czasu
jest wytwarzany w generatorze
kwarcowym zbudowanym z tran-
zystora T30 i elementów biernych
Elektronika Praktyczna 2/2003
15
32567639.056.png 32567639.057.png 32567639.001.png 32567639.002.png 32567639.003.png 32567639.004.png 32567639.005.png 32567639.006.png 32567639.007.png 32567639.008.png 32567639.009.png 32567639.010.png 32567639.011.png 32567639.012.png 32567639.013.png 32567639.014.png 32567639.015.png 32567639.016.png
 
AVT Nixie Clock
ne spe³nia do³¹czony do global-
nego wejcia zeruj¹cego U1 ob-
wód ró¿niczkuj¹cy C3, R26.
Na rys. 1 zamieszczono tak¿e
schemat elektryczny zasilacza do-
starczaj¹cego napiêcie anodowe
220 VDC dla wywietlaczy Nixie
oraz stabilizowane napiêcia +5
VDC - U2 (zasilanie generatora
i programatora ISP) i +3,3 VDC -
U3 (zasilanie uk³adu U1). W oby-
dwu liniach zasilaj¹cych zastoso-
wano kondensatory filtruj¹ce, przy
czym ich ³¹czna pojemnoæ (nie
licz¹c kondensatora pierwszego
filtru têtnieñ C11) jest niezbyt
du¿a, ale wystarczaj¹ca dziêki
bardzo ma³emu poborowi pr¹du
przez uk³ad U1.
Pamiêæ konfiguracji zastosowa-
na w uk³adzie U1 jest typu Flash,
dziêki czemu jej zawartoæ mo¿na
modyfikowaæ po zamontowaniu
uk³adu w systemie. Do tego celu
s³u¿y wyspecjalizowany interfejs
JTAG, którego linie sygna³owe zo-
sta³y doprowadzone do z³¹cza J2
widocznego na schemacie pokaza-
nym na rys. 1. Pomimo tego, ¿e
U1 jest zasilany napiêciem o war-
toci 3,3 V, wszystkie jego linie
I/O (w tym tak¿e linie interfejsu
JTAG) mog¹ wspó³pracowaæ (w
obydwie strony!) ze standardowy-
mi uk³adami cyfrowymi zasilany-
mi napiêciem 5 V. Dlatego te¿, do
styków 1 i 2 z³¹cza J2 doprowa-
dzono napiêcie o tej wartoci, któ-
re mo¿na wykorzystaæ do zasilenia
programatora ISP (opis budowy
takiego programatora opublikowa-
limy w EP4/2001, mo¿na go zna-
leæ tak¿e w Internecie pod adre-
sem: http://www.ep.com.pl/
archiwum/pdf/2001/04/80.pdf ).
Uwa¿ni Czytelnicy zarzuc¹ mi
po analizie schematu zasilacza
pewnie niekonsekwencjê, ponie-
wa¿ pomimo zastosowania trans-
formatora Tr1, zasilanie zegara
Rys. 2. Schemat elektryczny bloku
wywietlania
Historia Nixie
Wywietlacz Nixie opracowali w 1952 roku dwaj bracia,
wêgierscy emigranci zamieszkali w Stanach Zjednoczonych George
i Zoltan Haydu. Byli oni w³acicielami firmy produkuj¹cej lampy
elektronowe i inne elementy stosowane w ówczesnych urz¹dze-
niach elektronicznych, g³ównie do zastosowañ militarnych (m.in.
pierwsze alianckie radary, stosowane podczas bitwy o Wielk¹
Brytaniê, by³y budowane z wykorzystaniem podzespo³ów
produkowanych przez braci Haydu).
W 1953 roku prawa do produkcji wywietlaczy Nixie zakupi³a
firma Burroughs Corp., jeden z najwiêkszych wówczas producen-
tów biurowych maszyn licz¹cych. Nad projektem wdro¿enia
wywietlaczy do masowej produkcji czuwa³ Saul Kuchinsky, który
nada³ mu nazwê Numerical Indicator eXperimental - 1 , czyli
w skrócie NIX-1. Ju¿ w 1954 w prasie fachowej nadano nowemu
produktowi firmy Burroughs nazwê Nixie, która a¿ do dzisiaj
nieod³¹cznie kojarzy siê ze znakami jarz¹cymi siê na pomarañ-
czowo w szklanej bañce.
Panowanie lamp Nixie na wiecie trwa³o a¿ do pocz¹tku lat 70,
kiedy to bardzo szybko zosta³y wyparte przez 7-segmentowe
wywietlacze LED. Nieco d³u¿ej stosowane by³y w naszym kraju
(i pozosta³ych, nale¿¹cych do Bloku Wschodniego), co by³o
objawem technologicznego zacofania krajowego przemys³u
elektronicznego. Jeszcze w drugiej po³owie lat 80 warszawska
firma Meratronik produkowa³a laboratoryjne multimetry (m.in.
V-543, V-560) wyposa¿one w wywietlacze Nixie produkowane
m.in. przez wroc³awski Dolam.
R39, R40, R41, C7, C9 i X1 (czês-
totliwoæ rezonansowa kwarcu wy-
nosi 2 15 Hz = 32,768 kHz). Oprócz
generatora, na zewn¹trz uk³adu U1
znajduje siê stosunkowo niewiele
elementów, co wynika ze zinteg-
rowania w jego wnêtrzu wszyst-
kich bloków funkcjonalnych zega-
ra. Dzia³anie uk³adu U1 omówimy
w dalszej czêci artyku³u.
Prze³¹cznik S1 s³u¿y do w³¹-
czania trybu szybkiego ustawia-
nia, S2 do w³¹czania trybu wol-
nego ustawiania, natomiast S3
s³u¿y do prze³¹czania trybu pracy
zegara pomiêdzy zliczaniem czasu
i ustawianiem. Poniewa¿ w uk³a-
dzie U1 zaimplementowano uk³a-
dy synchroniczne (liczniki), przed
rozpoczêciem pracy wymaga on
zerowania. Rolê uk³adu automa-
tycznie zeruj¹cego wszystkie wbu-
dowane w U1 bloki synchronicz-
16
Elektronika Praktyczna 2/2003
32567639.017.png 32567639.018.png 32567639.019.png
AVT Nixie Clock
Rys. 3. Schemat elektryczny bloku wzmacniaczy wysokonapiêciowych
nie jest odizolowane od sieci
energetycznej. Dzieje siê tak dla-
tego, ¿e napiêciem anodowym jest
napiêcie uzyskane przez wypros-
towanie za pomoc¹ mostka
Graetza M2 napiêcia podawanego
wprost z sieci energetycznej. Czyli
prosty wniosek: albo transformator
Tr1 jest nadmiarowy, albo brakuje
transformatora w obwodzie zasila-
nia anod wywietlaczy. Wbrew
pozorom takie rozwi¹zanie nie jest
wynikiem b³êdu konstrukcyjnego,
ale kompromisu pomiêdzy ³atwo-
ci¹ uruchomienia i bezpieczeñs-
twem pracy wykonawcy zegara
a mo¿liwociami samodzielnego
wykonania (lub zdobycia inn¹ dro-
g¹) odpowiedniego transformatora.
Szczegó³y zostan¹ omówione
w dalszej czêci artyku³u.
Zespó³ wywietlaczy na lam-
pach Nixie jest kolejnym blokiem,
którego schemat przedstawiono na
rys. 2 . W anody lamp L1...L4
w³¹czono rezystory ograniczaj¹ce
pr¹d p³yn¹cy przez lampê do ok.
3,4 mA. Nie jest konieczne rów-
noleg³e ³¹czenie par rezystorów:
R17 i R18, R19 i R36, R37 i R38,
a tak¿e R44 i R45, gdy¿ mo¿na
zastosowaæ pojedyncze rezystory
o rezystancji ok. 22...24 k
W
i mo-
cy co najmniej 0,3 W.
Na rys. 2 zamieszczono w ram-
ce narysowanej lini¹ przerywan¹
dwie neonówki do³¹czone do z³¹-
cza J5. S³u¿¹ one do wywietlania
dwukropka i s¹ umieszczone na
osobnej p³ytce drukowanej, za-
montowanej prostopadle do p³yty
bazowej.
Katody lamp L1...L4 i obydwie
neonówki s¹ sterowane przez wy-
sokonapiêciowe tranzystory, po³¹-
czone jak to pokazano na sche-
macie elektrycznym zespo³u klu-
czy tranzystorowych.
Zespó³ kluczy ( rys. 3 ) zawiera
tranzystory T1...T29 pracuj¹ce
w konfiguracji kluczy emiterowych
z obci¹¿eniami w postaci lamp
i neonówek (T29) w³¹czonymi
w obwody kolektorów. Zastosowa-
ne w modelowym egzemplarzu
tranzystory KSP42 (cis³y odpo-
wiednik MPSA42) s¹ przystoso-
wane do pracy z napiêciem U ceo
o wartoci do 300 V, a maksymal-
ny pr¹d kolektora mo¿e wynosiæ
do 500 mA. Spe³niaj¹ wiêc z nad-
datkiem wymagania stawiane
przez aplikacjê, ale ich niska cena
i dostêpnoæ na rynku spowodo-
wa³y, ¿e wybrano je do prezen-
towanego uk³adu.
Co to jest Nixie?
Nixie jest tzw. zimn¹
lamp¹, poniewa¿ do jej
dzia³ania nie jest konieczne
podgrzewanie katody.
Zatopione w szklanej bañce
katody maj¹ kszta³ty
wywietlanych symboli. S¹
one otoczone anod¹, która
ma perforacjê od strony
czo³owej (lub s¹ zwrócone
katodami do strony
czo³owej), zapewniaj¹c¹
odpowiedni¹ widocznoæ
wywietlanych znaków.
Poniewa¿ podczas produkcji
z wnêtrza lampy jest usuwane
powietrze, a w jego miejsce
wprowadzany jest gaz
szlachetny (neon) o niewielkim
cinieniu, to po przy³o¿eniu
odpowiednio du¿ego napiêcia
pomiêdzy anodê i katodê gaz
ten ulega jonizacji wokó³
katody i wieci, czyni¹c
widocznym wybrany znak.
Z punktu widzenia elektryczne-
go lampê Nixie mo¿na
porównaæ z zespo³em
neonówek z jedn¹ elektrod¹
wyprowadzon¹ wspólnie. Ich
liczba odpowiada liczbie
wywietlanych znaków.
Elektronika Praktyczna 2/2003
17
32567639.020.png 32567639.021.png 32567639.022.png 32567639.023.png 32567639.024.png 32567639.025.png 32567639.026.png 32567639.027.png 32567639.028.png 32567639.029.png 32567639.030.png 32567639.031.png 32567639.032.png 32567639.033.png 32567639.034.png
AVT Nixie Clock
3,3V vs 5V
Zastosowanie w projekcie
uk³adu zasilanego napiêciem
3,3 V ma tylko jedn¹
przyczynê: by³ on znacznie
tañszy od odpowiednika
w klasycznej wersji zasilanej
napiêciem 5 V. Dziêki pe³nej
kompatybilnoci linii
wejciowych i wyjciowych
uk³adu XC9572 z uk³adami
TTL i CMOS zasilanymi
napiêciem 5 V, korzystanie
z niego w aplikacjach
z mieszanymi napiêciami
zasilaj¹cymi nie jest
k³opotliwe.
Rys. 4. Schemat blokowy ilustruj¹cy wewnêtrzn¹ budowê uk³adu XC9572XL
dzie U1 pokazano na rys. 6 .
W uk³adzie zrealizowano wszyst-
kie bloki niezbêdne do dzia³ania
zegara, w tym tak¿e preskaler
czêstotliwoci wzorcowej. Opisy
wszystkich bloków widocznych
na rys. 6 przygotowano w jêzyku
VHDL, a dwa najciekawsze omó-
wimy nieco bardziej szczegó³owo.
Liczniki czasu, ze wzglêdu na
specyficzny sposób sterowania wy-
wietlaczy Nixie (dekodowanie
1 z n ), zosta³y opisane jako licz-
niki Johnsona (z kr¹¿¹c¹ jedynk¹).
Opis liczników jednostek i dzie-
si¹tek minut jest doæ prosty ( list.
1 ), poniewa¿ nie ma koniecznoci
rêcznego przypisywania stanów
wyjæ okrelonej liczbie zliczo-
nych impulsów. Nieco wiêcej pra-
cy wymaga³o przygotowanie opisu
licznika godzin (jednostek i dzie-
si¹tek, list. 2 ), poniewa¿ ten blok
U1 od rodka
Jak wczeniej wspomnia³em,
sercem prezentowanego zegara
jest uk³ad programowalny CPLD
( Complex Programmable Logic De-
vice ). Zastosowany uk³ad nale¿y
do rodziny XC9500XL, która jest
zmodernizowan¹ wersj¹ znanych
od lat uk³adów rodziny XC9500,
przystosowanych do pracy nisko-
napiêciowej. Budowê wewnêtrzn¹
uk³adu XC9572XL pokazano na
rys. 4 . W strukturze uk³adu zin-
tegrowano du¿e (jak na potrzeby
projektu, wykorzystano bowiem
51 sporód 72 dostêpnych mak-
rokomórek) zasoby logiczne,
w tym a¿ 72 makrokomórki o nie-
zwykle du¿ych mo¿liwociach
konfiguracyjnych. Makrokomórki
s¹ pogrupowane w bloki po 18.
Komunikacjê pomiêdzy nimi
umo¿liwia programowana matryca
po³¹czeniowa. Budowê pojedyn-
czej makrokomórki uk³adu
XC9572XL pokazano na rys. 5 .
Schemat blokowy uk³adu zega-
ra zaimplementowanego w uk³a-
List. 1. Opis dzia³ania licznika
dziesi¹tek minut w jêzyku VHDL
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity licznik_dzm is
Port ( clk: in std_logic;
clk_o: out std_logic;
res: in std_logic;
outp: inout std_logic_vector(5 downto 0)
);
end licznik_dzm;
architecture beh of licznik_dzm is
signal din: std_logic;
begin
process (clk, res)
begin
if res = '1' then
outp <= "000001";
elsif clk'event and clk = '1' then
outp <= outp(4 downto 0) & outp(5);
end if;
end process;
clk_o <= not outp(5);
end beh;
Rys. 5. Uproszczony schemat budowy makrokomórki w uk³adzie XC9572XL
18
Elektronika Praktyczna 2/2003
32567639.035.png 32567639.036.png 32567639.037.png 32567639.038.png 32567639.039.png 32567639.040.png 32567639.041.png 32567639.042.png 32567639.043.png 32567639.044.png 32567639.045.png 32567639.046.png 32567639.047.png 32567639.048.png 32567639.049.png 32567639.051.png 32567639.052.png 32567639.053.png 32567639.054.png
Zgłoś jeśli naruszono regulamin