zegar pseudoanalogowy.pdf

(482 KB) Pobierz
Zegar pseudoanalogowy - AVT-426
Zegar pseudoanalogowy
Zegar pseudoanalogowy
kit AVT−426
Wszelkiego rodzaju zegary
zawsze cieszy³y siÍ wielkim
zainteresowaniem CzytelnikÛw
pism dla elektronikÛw. Nic
w†tym dziwnego, poniewaø
budowa zegara, nawet
o†znakomitych parametrach,
nie jest zadaniem trudnym
i†nie przekracza moøliwoúci
niezbyt zaawansowanych
hobbystÛw. £adnie wykonany
zegar przynosi chwa³Í
swojemu twÛrcy, zawsze jest
widoczny, a†jego walory
mog¹ oceniÊ ludzie nawet
kompletnie nie zwi¹zani
z†technik¹. Jest zreszt¹ coú
fascynuj¹cego w†budowie
i†úledzeniu dzia³ania
urz¹dzenia odmierzaj¹cego
czas - øywio³u, ktÛrego
natury w³aúciwie nie znamy.
WiÍkszoúÊ zegarÛw elektronicz-
nych konstruowanych przez ama-
torÛw jest wyposaøona w†rÛøn¹
liczbÍ wyúwietlaczy siedmioseg-
mentowych LED lub, znacznie
rzadziej, w†wyúwietlacze LCD.
Wynika to z†powszechnej dostÍp-
noúci i†niskiej ceny tych wyúwiet-
laczy oraz z†faktu, øe do takich
w³aúnie wyúwietlaczy dostosowa-
ne s¹ prawie wszystkie ìzegaro-
weî uk³ady scalone. Przebojem
wúrÛd kitÛw AVT sta³ siÍ ostatnio
zegar, ktÛrego opis zamieszczony
zosta³ w†EP2/97.
Jest to zegar domowy zbudo-
wany na mikroprocesorze, ktÛry
moøe realizowaÊ wszystkie funk-
cje, jakie tylko moøemy sobie
wyobraziÊ dla zegara. Jest on
sterowany sygna³em DCF, co ozna-
cza niewyobraøaln¹ wrÍcz dok³ad-
noúÊ wskazaÒ, jest wyposaøony
takøe w†liczne budziki i†timery.
Co wiÍcej, potrafi powiedzieÊ,
ktÛra jest godzina i†to g³osem,
ktÛry sami moøemy sobie nagraÊ!
Jak wszystkie inne, by³ to
oczywiúcie zegar wyposaøony
w†wyúwietlacze LED. Tymczasem,
obserwuj¹c podaø zegarÛw i†ze-
garkÛw na rynku moøemy stwier-
dziÊ, øe ludzie nie odzwyczaili
siÍ od czasomierzy ze wskaza-
niem analogowym. Wprost prze-
ciwnie, na pÛ³kach z†zegarkami
w†kaødym sklepie krÛluj¹ zegarki
analogowe lub pseudoanalogowe.
Zegarki z†wyúwietlaczami cyfro-
wymi dedykowane s¹ g³Ûwnie
dzieciom i†m³odzieøy, a†øadna li-
cz¹ca siÍ na rynku firma nie
produkuje takich zegarkÛw prze-
znaczonych dla bardziej wymaga-
j¹cej klienteli. Warto wiÍc pomyú-
leÊ o†budowie zegara, ktÛry za-
chowuj¹c precyzjÍ dzia³ania zega-
rÛw cyfrowych, posiada³by tak
mi³e dla oka, ìklasyczneî wska-
zÛwki. Niestety, wykonanie me-
chanizmu takiego zegara analogo-
wego jest w†warunkach amators-
kich nierealne.
Post¹pimy wiÍc inaczej: zbu-
dujemy zegar cyfrowy z†wyúwiet-
laniem pseudoanalogowym na 72
diodach LED. Nie bÍdzie wpraw-
dzie tradycyjnych, mechanicznych
wskazÛwek, ale za to uzyskamy
moøliwoúÊ ³atwej rozbudowy uk³a-
du. Przecieø kaødy porz¹dny
úcienny zegar powinien wybijaÊ
godziny lub wygrywaÊ kuranty,
nie mÛwi¹c juø o†tak mi³ym dla
ucha ìcykaniuî. To wszystko bÍ-
dziemy mogli zrealizowaÊ w pro-
ponowanym uk³adzie. Modu³, ktÛ-
ry po do³¹czeniu do naszego
Elektronika Praktyczna 5/98
57
P R O J E K T Y
30527606.035.png 30527606.036.png
Zegar pseudoanalogowy
Rys. 1. Schemat elektryczny bloku liczników (blok 1).
01111000 (BIN) , diody D74..D77 prze-
staj¹ zwieraÊ do masy wejúcie 13
przerzutnika RS (IC11D). Przerzut-
nik ten zmienia swÛj stan, zeruj¹c
stanem wysokim (na wyjúciu 10
bramki IC11C) liczniki z†uk³adu
IC9 i†na wejúcie bramki IC7D
zostaje przekazany kolejny impuls
minutowy. Nadejúcie wstÍpuj¹ce-
go zbocza impulsu zegarowego
powoduje wyzerowanie przerzut-
nika RS i†cykl zliczania rozpoczy-
na siÍ od pocz¹tku. Zak³adaj¹c, øe
drugie wejúcie bramki IC7D jest
aktualnie w†stanie wysokim (zegar
pracuje w†trybie odmierzania cza-
su - TIME) to impuls ten zostanie
przekazany dalej: do bramki IC7C
i†na wejúcie bloku 2, ktÛrego
dzia³anie omÛwimy niøej.
ZastanÛwmy siÍ teraz, co siÍ
stanie, jeøeli prze³¹cznik S1 zo-
stanie przestawiony w†pozycjÍ
SET (ustawiania zegara). Wymu-
szony zostanie stan wysoki na
wejúciach zeruj¹cych licznikÛw
wytwarzaj¹cych przebieg minuto-
wy. Na wejúciu 13 bramki IC7D
powstanie stan niski, powoduj¹c
zamkniÍcie tej bramki. Zostanie
natomiast otwarta bramka IC7A,
co umoøliwi przekazywanie do
bloku 2 uk³adu zegara impulsÛw
generowanych przez multiwibra-
tor IC10 (oczywiúcie, z†NE555)
oraz rÛøniczkowanych przez kon-
densator C5 i rezystor R10. Z†pew-
noúci¹ wielu CzytelnikÛw zada
pytanie o†celowoúÊ stosowania
kondensatora C5. Dlaczego nie
moøna by³o do³¹czyÊ wyjúcia uk³a-
du IC10 bezpoúrednio do wejúcia
bramki IC7A? Zastosowa³em tu
prosty chwyt konstruktorski po-
zwalaj¹cy zlikwidowaÊ skutki wie-
lokrotnego odbijania stykÛw prze-
³¹cznika S1. Podczas ustawiania
czasu, na wejúciu 12 bramki IC7D
panuje stale stan niski spowodo-
wany trwa³ym wyzerowaniem licz-
nika IC9B. Z†kolei wejúcie 2†bram-
ki IC7A takøe prawie przez ca³y
czas pozostaje w†stanie niskim,
poniewaø kierowane s¹ na nie
krÛtkie impulsy szpilkowe z†obwo-
du rÛøniczkuj¹cego (C5 i R10).
Tak wiÍc prze³¹czenia dokonuje-
my pomiÍdzy dwoma wy³¹czony-
mi bramkami i†nie musimy siÍ
obawiaÊ przek³amaÒ wnoszonych
przez drgaj¹ce styki. CzÍstotli-
woúÊ generowan¹ przez IC10 mo-
øemy zmieniaÊ w†bardzo szero-
kich granicach: od ok. 10 Hz do
zegara umoøliwi wybijanie godzin
i†wygrywanie kurantÛw jest obec-
nie opracowywany w†pracowni
konstrukcyjnej AVT.
zbudowaÊ zarÛwno generator
kwarcowy, jak i†wstÍpny dzielnik
czÍstotliwoúci. Generator jest sta-
bilizowany rezonatorem kwarco-
wym 32768Hz, tanim i†powszech-
nie dostÍpnym elementem stoso-
wanym w†zegarkach narÍcznych.
Na wyjúciu Q14 IC8 otrzymujemy,
po czternastokrotnym podziale
32768Hz przez 2 (dzielenie przez
2 14 ), czÍstotliwoúÊ 2Hz. Poniewaø
potrzebny nam jest przebieg
o†okresie rÛwnym jednej minucie
musimy dokonaÊ kolejnego po-
dzia³u czÍstotliwoúci, tym razem
przez 120. RolÍ kolejnego dziel-
nika pe³ni¹ dwa liczniki binarne
zawarte w†uk³adzie IC9 - 4520. Na
wejúcie pierwszego licznika jest
podawany przebieg o czÍstotli-
woúci 2Hz pobierany z†wyjúcia
Q14 IC8.
Na pocz¹tku zliczania na wyj-
úciu 10 przerzutnika R-S zreali-
zowanego na bramkach IC11D
i†IC11C, utrzymuje siÍ stan niski,
przekazywany za pomoc¹ rezysto-
ra R5 na wejúcia zeruj¹ce liczni-
kÛw, umoøliwiaj¹c tym samym
ich pracÍ. Zastosowanie rezystora
R5 by³o absolutnie konieczne,
pozwala on bowiem na wyzero-
wanie licznikÛw w†dowolnym mo-
mencie za pomoc¹ prze³¹cznika
S1 poprzez diodÍ D73.
W†momencie osi¹gniÍcia przez
liczniki IC9 stanu 120, czyli
Opis dzia³ania uk³adu
Schemat elektryczny propono-
wanego uk³adu zosta³ pokazany
na rys. 1 , 2 i† 3 w†doúÊ nietypowy
sposÛb: zamiast rysowaÊ jeden,
bardzo rozbudowany schemat,
uk³ad zosta³ podzielony na trzy
wspÛ³pracuj¹ce ze sob¹ bloki, kaø-
dy przedstawiony na osobnym
rysunku. Autor s¹dzi, øe taki
sposÛb pokazania schematu doúÊ
skomplikowanego urz¹dzenia u³at-
wi Czytelnikom zrozumienie za-
sady jego dzia³ania.
AnalizÍ pracy uk³adu rozpocz-
niemy od bloku 1, ktÛry pe³ni
dwie funkcje: dostarcza do dalszej
czÍúci zegara sygna³ o stabilnej
czÍstotliwoúci 1/60Hz (steruj¹cy
blokiem wyúwietlania minut) oraz
sygna³u o czÍstotliwoúci regulo-
wanej w†szerokim zakresie (wyko-
rzystywanego przy ustawianiu ze-
gara).
Generator sygna³u o czÍstotli-
woúci wzorcowej zosta³ zbudowa-
ny z†wykorzystaniem dobrze juø
znanego naszym Czytelnikom
uk³adu 4060 - IC8. Jest to kostka
szczegÛlnie wygodna dla kon-
struktorÛw buduj¹cych uk³ady cza-
sowe, poniewaø moøemy na niej
58
Elektronika Praktyczna 5/98
30527606.037.png 30527606.038.png 30527606.001.png 30527606.002.png 30527606.003.png 30527606.004.png 30527606.005.png 30527606.006.png 30527606.007.png 30527606.008.png 30527606.009.png 30527606.010.png 30527606.011.png
Zegar pseudoanalogowy
Rys. 2. Schemat elektryczny bloku wyświetlania minut (blok 2).
zera (stary ìchwytî z†przeciÍt¹
úcieøk¹ potencjometru P1).
Wiemy juø, jakie przebiegi mo-
øemy uzyskaÊ z†pierwszego bloku
uk³adu. Zobaczmy teraz, do czego
zostan¹ one wykorzystane.
Na rys. 2†zosta³ przedstawiony
kolejny, drugi blok uk³adu reali-
zuj¹cy funkcje zliczania i†wyúwiet-
lania minut. Jest to najbardziej
rozbudowana czÍúÊ naszego zega-
ra, poniewaø jej zadaniem jest
ìobs³uøenieî aø 60 diod LED.
AnalizÍ tego bloku rozpoczniemy
od momentu kiedy obydwa licz-
niki IC1 i†IC2 s¹ wyzerowane.
Liczniki pracuj¹ce w†tej czÍúci
uk³adu s¹ 5-stopniowymi liczni-
kami Johnsona z dekoderem na
kod "1 z 10". Jak do tej pory
najczÍúciej spotykaliúmy siÍ z licz-
nikami BCD lub binarnymi. Pro-
dukowane s¹ takøe liczniki, na
wyjúciach ktÛrych informacja
wystÍpuje w†kodzie wskaünika
siedmiosegmentowego. Natomiast
licznik Johnsona z dekoderem
posiada wyjúcia pracuj¹ce
w†kodzie ì1 z†10î, co oznacza, øe
w†miarÍ nadchodzenia kolejnych
impulsÛw zegarowych stan niski
lub wysoki ìprzesuwa siÍî przez
dziesiÍÊ wyjúÊ licznika.
Wszystkie 60 diod po³¹czo-
nych zosta³o w†matrycÍ sk³adaj¹c¹
siÍ z†10 kolumn i†6†wierszy. Ko-
lumny s¹ zasilane wprost z†wyjúÊ
licznika IC1, natomiast wiersze s¹
do³¹czane do minusa zasilania
poprzez inwertery z†tranzystorami
Darlingtona zawarte w†strukturze
uk³adu IC3 - ULN2003.
Jak juø wspomniano, na oby-
dwÛch licznikach mamy stan ì0î,
tak wiÍc stan wysoki wystÍpuje
na ich wyjúciach Q0. A†zatem do
plusa zasilania s¹ do³¹czone
wszystkie diody zawarte w†ko-
lumnie 1, czyli diody: D1, D11,
D21, D32, D41 i†D51. Tylko na
jednym wejúciu uk³adu IC3 panu-
je stan wysoki, jest to wejúcie
INA, i†po³¹czony z†nim tranzystor
Darlingtona przewodzi, uaktyw-
niaj¹c pierwszy wiersz matrycy
z†diodami D1..D10. £atwo teraz
zauwaøyÊ, øe úwieci tylko dioda
D1. Nadejúcie pierwszego impulsu
zegarowego z†bloku 1†powoduje
zmianÍ stanu licznika IC1 o†1,
czyli stan wysoki pojawia siÍ na
jego wyjúciu Q1. Uaktywniona
zostaje druga kolumna matrycy,
a†poniewaø stan licznika IC2
Elektronika Praktyczna 5/98
59
30527606.012.png 30527606.013.png 30527606.014.png 30527606.015.png 30527606.016.png 30527606.017.png 30527606.018.png 30527606.019.png 30527606.020.png 30527606.021.png 30527606.022.png 30527606.023.png
Zegar pseudoanalogowy
siÍ impuls, ktÛry powoduje
zmianÍ stanu licznika IC2 o†1.
Uaktywniony zostaje kolejny
wiersz matrycy, licznik IC1
rozpoczyna zliczanie od po-
cz¹tku, zapalaj¹c kolejno diody
drugiego wiersza. Po kolejnym
minutowym impulsie stan wy-
soki pojawi siÍ na wyjúciu Q6
licznika IC2, co spowoduje
natychmiastowe wyzerowanie
tego uk³adu i†przekazanie im-
pulsu zegarowego do bloku 3.
Jak ³atwo zauwaøyÊ, impuls
taki bÍdzie pojawia³ siÍ do-
k³adnie co godzinÍ.
Popatrzmy na schemat ostat-
niej czÍúci uk³adu zegara -
bloku 3. Jego g³Ûwnymi ele-
mentami s¹ licznik binarny
IC4 i†dekoder kodu BCD na ì1
z†10î - IC5. Dzia³anie uk³adu
jest nastÍpuj¹ce: licznik IC4
zlicza impulsy godzinowe nad-
chodz¹ce z†bloku 2. W†miarÍ
jak ten licznik osi¹ga co-
raz wiÍkszy stan, zapa-
laj¹ siÍ kolejne diody
do³¹czone do wyjúÊ de-
kodera IC5.
Przy projektowaniu tego
fragmentu uk³adu pojawi³
siÍ jeden problem: dekoder
typu 4028 jest w†stanie za-
siliÊ dziesiÍÊ diod LED, za-
palaj¹cych siÍ przy stanach
licznika od 0†do 9. Jak
jednak wiadomo, tarcza ze-
do³¹czonego do wyjúÊ licznika
IC4A. Rzeczywiúcie by³oby to
proste, ale dekodery tego typu
naleø¹ do jednych z†droøszych
kostek z†serii 4000. Poradziliúmy
sobie wiÍc inaczej.
Musimy w³¹czyÊ dwie dodat-
kowe diody LED, jedna oznacza-
j¹ca godzinÍ 11, a†druga godzinÍ
12. Godzinie 11 odpowiada stan
licznika IC4 rÛwny 10, czyli
1010 (BIN) , natomiast godzinie dwu-
nastej stan rÛwny 11 czyli
1011 (BIN) . Ze zdekodowaniem stanu
odpowiadaj¹cego godzinie 12 nie
by³o najmniejszego problemu: wy-
júcia Q0, Q1 i†Q3 zosta³y do³¹-
czone do wejúÊ bramki NAND
IC6A. Po osi¹gniÍciu przez licznik
stanu 1011 na wyjúciu tej bramki
pojawia siÍ stan niski, ktÛry po
zanegowaniu przez bramkÍ IC6B
powoduje w³¹czenie ostatniej dio-
dy. Nieco gorsza sytuacja powsta-
³a przy koniecznoúci zdekodowa-
nia stanu 1010 licznika. Proste
po³¹czenie wyjúÊ Q1 i†Q3 licznika
z†bramk¹ NAND nic by nie da³o,
poniewaø dioda D63 w³¹cza³aby
siÍ takøe przy stanie licznika
o†1†wiÍkszym i†zapalone zosta³yby
dwie diody godziny 11 i†12. Za-
stosowano wiÍc takøe bramkÍ
NAND trÛjwejúciow¹, do³¹czaj¹c
do jej trzeciego wejúcia wyjúcie
bramki IC6A, na ktÛrym podczas
osi¹gniÍcia przez licznik stanu
1011 panuje stan logiczny niski.
Teraz juø wszystko jest w†porz¹d-
ku, diody godzin s¹ w³¹czane we
w³aúciwej kolejnoúci. Kto nie wie-
rzy, niech sam jeszcze raz spraw-
dzi. Tranzystor T1 zosta³ zastoso-
wany z†braku wolnej bramki
w†tych ìokolicachî p³ytki druko-
wanej i†pe³ni rolÍ inwertera.
Po zliczeniu przez licznik IC4A
dwunastu impulsÛw, stan wysoki
pojawia siÍ na jego wyjúciach Q2
i†Q3, a†w†konsekwencji na wyj-
úciu bramki IC7B powstaje stan
niski, ktÛry po zanegowaniu przez
bramkÍ IC11A powoduje natych-
miastowe wyzerowanie licznika.
W†ten sposÛb koÒczy siÍ dwuna-
stogodzinny cykl pracy naszego
zegara.
Pora teraz na kilka s³Ûw do-
tycz¹cych ustawiania na zegarze
w³aúciwej godziny. Aby tego do-
konaÊ ustawiamy prze³¹cznik S1
w†pozycji oznaczonej na schema-
cie SET. Konsekwencje tego bÍd¹
nastÍpuj¹ce:
Rys. 3. Schemat elektryczny bloku wyświetlania godzin (blok 3).
w†dalszym ci¹gu wynosi ì0î, za-
pala siÍ druga dioda pierwszego
wiersza i†tak dalej, aø licznik IC1
zliczy dziesiÍÊ minutowych im-
pulsÛw. W†tym momencie na wyj-
úciu CO (przeniesienia) pojawia
gara analogowego podzielona jest
na dwanaúcie godzin i†na ten
k³opotliwy fakt z†pewnoúci¹ nic
nie poradzimy. Rozwi¹zaniem
najprostszym by³oby czÍúciowe
wykorzystanie dekodera ì1 z†16î
60
Elektronika Praktyczna 5/98
30527606.024.png 30527606.025.png 30527606.026.png 30527606.027.png
Zegar pseudoanalogowy
- bramka IC7D, przekazuj¹ca im-
pulsy minutowe do bloku 2,
zostanie zamkniÍta przez wymu-
szony na jej wejúciu 13 stan
niski;
- liczniki IC8 i†IC9 zostan¹ wy-
zerowane;
- otwarta zostanie bramka IC7A,
co umoøliwi sterowanie zegara
impulsami pochodz¹cymi z†ge-
neratora o†regulowanej czÍstotli-
woúci, zrealizowanego na uk³a-
dzie NE555 - IC10.
W†tym momencie nasz zegar
pracuje tak, jak opisano wyøej,
z†jednym wyj¹tkiem: zamiast ci¹-
gu impulsÛw o†okresie rÛwnym
jednej minucie, do licznikÛw ze-
gara i†licznika adresuj¹cego pa-
miÍÊ s¹ doprowadzane impulsy
generowane przez IC10. CzÍstot-
liwoúÊ tych impulsÛw moøemy
zmieniaÊ od ok. 10 Hz do zera,
czyli do zatrzymanie zegara na
dowolnie d³ugi okres. Efekt za-
trzymania pracy generatora U6
realizujemy w†najprostszy sposÛb:
przecinaj¹c úcieøkÍ oporow¹ po-
tencjometru R6 w†punkcie ozna-
czonym na schemacie ìxî. Tak
wiÍc moøemy na naszym zegarze
ustawiÊ dowolna godzinÍ i†zatrzy-
maÊ go na dowolnie d³ugi czas.
Jeøeli wiÍc zbliøa siÍ godzina
np. 15:05, to na naszym zegarze
ustawiamy w³aúnie tÍ godzinÍ
i†zatrzymujemy go. Dok³adnie
o†15:05:00 prze³¹czamy prze³¹cz-
nik S1 w†pozycjÍ TIME. Pocz¹t-
kowo nic nie zauwaøymy, ale po
minucie nasz zegar z†pewnoúci¹
pokaøe godzinÍ 15:06. Pozosta³a
czÍúÊ uk³adu to typowo zbudowa-
ny stabilizator napiÍcia wykorzys-
tuj¹cy uk³ad scalony IC12 - 7805.
To juø chyba wszystko, co
moøna napisaÊ na temat zasady
dzia³ania naszego uk³adu. W†dal-
szej czÍúci artyku³u wspomnimy
jeszcze o†moøliwych do przepro-
wadzenia modyfikacjach i†uspraw-
nieniach.
Rys. 4. Rozmieszczenie elementów na płytce drukowanej (na rysunku
widok zmniejszono do 80%).
zrezygnowaÊ, na stronie opiso-
wej p³ytki naniesione s¹ cyfry
odpowiadaj¹ce wyúwietlanym go-
dzinom i†minutom. Wygl¹d ta-
kiego zegara by³by niezbyt este-
tyczny, tym bardziej, øe nie
uda³o mi siÍ rÛwno umieúciÊ
uk³ady scalone na p³ytce. W†za-
sadzie problem polega na zna-
lezieniu odpowiedniej p³yty czo-
³owej, a z†reszt¹ juø sobie jakoú
poradzimy. I†tu pomys³ nasuwa
siÍ sam: do zegara pseudoanalo-
gowego moøemy wykonaÊ p³ytÍ
czo³ow¹ ze starej p³yty gra-
mofonowej. M³odszym Czytelni-
kom EP autor pragnie wyjaúniÊ,
øe by³y to takie czarne kr¹øki,
na ktÛrych nagrywa³o siÍ düwiÍk.
ByÊ moøe takie p³yty poniewie-
raj¹ siÍ jeszcze po domach, a†wy-
konana z†nich tarcza zegara by-
³aby bardzo efektowna.
W†kaødym razie, jeøeli tylko
zastosujemy jak¹kolwiek p³ytÍ
czo³ow¹, to p³ytka obwodu dru-
kowanego musi pos³uøyÊ nam
jako matryca do wykonania na
tej p³ycie 72 otworÛw pod
diody LED. Z†pewnoúci¹ juø za-
uwaøyliúcie, øe pomiÍdzy punk-
tami lutowniczymi kaødej z†diod
LED umieszczono dodatkowe ot-
worki, pozornie do niczego nie
potrzebne. Otwory te pos³uø¹
nam do wywiercenia otworÛw
pod diody idealnie na okrÍgu.
Niemniej przestrzegam: to bÍdzie
wymaga³o naprawdÍ sporo pra-
cy!
Wykonanie p³yty czo³owej roz-
poczniemy od przymocowania do
niej p³ytki obwodu drukowanego.
Jeøeli zastosujemy zniszczon¹ p³y-
tÍ analogow¹, to warto jeszcze
zwrÛciÊ uwagÍ na jeden dodat-
kowy otwÛr na p³ytce obwodu
drukowanego, umieszczony ideal-
nie w†jej centrum i†oznaczony
ìCî. Pos³uøy on do idealnie
centrycznego umieszenia p³ytki
drukowanej na p³ycie czo³owej.
Po zlokalizowaniu úrodka musi-
my obie p³yty mocno po³¹czyÊ ze
sob¹, najlepiej za pomoc¹ taúmy
samoprzylepnej. NastÍpnie wier-
cimy poprzez p³ytkÍ drukowan¹
wszystkie 72 otwory, najlepiej za
pomoc¹ wiert³a o†úrednicy
0,8mm. Nie musimy siÍ przy tym
Montaø i uruchomienie
Na rys. 4 przedstawiono roz-
mieszczenie elementÛw na p³ytce
drukowanej.
Jak przysta³o na zegar ìana-
logowyî, p³ytka zosta³a wykona-
na w†formie ko³a. Zanim jednak
rozpoczniemy montaø, musimy
zadecydowaÊ, jak¹ zastosujemy
obudowÍ. Oczywiúcie moøemy
w†ogÛle z†obudowywania uk³adu
Elektronika Praktyczna 5/98
61
30527606.028.png 30527606.029.png 30527606.030.png 30527606.031.png 30527606.032.png 30527606.033.png 30527606.034.png
Zgłoś jeśli naruszono regulamin